eric2013 发表于 2013-9-24 12:57:46

QUARTUS II警告整理贴

1.Warning (13024): Output pins are stuck at VCC or GND
       Warning (13410): Pin "q" is stuck at GND
       Warning (13410): Pin "q" is stuck at VCC
这个是因为输出引脚是个常数的原因,举一个例子:
module block(clk, q);
    inputclk;
    outputq;
    reg      q;
    reg    a;
    reg    b = 1'b1;
    always @(posedge clk)
      begin
            a = b;
            q = a + 1'b1;//得到的q就是一个常熟值2
      end
endmodule
对应的RTL视图如下

eric2013 发表于 2013-9-24 13:04:06

2.Warning (21074): Design contains 1 input pin(s) that do not drive logic
   Warning (15610): No output dependent on input pin "clk"
      还以上面的例子为例子,看下上面的那个RTL视图,不管CLK如何变化,输入永远是2,所以会有这个警告

eric2013 发表于 2013-9-27 19:38:52

Error (209015): Can't configure device. Expected JTAG ID code 0x020A10DD for device 1,
                            but found JTAG ID code 0x020A20DD.
出现这个错误是因为目标期间和实际现在的期间不一致造成的。

eric2013 发表于 2013-9-30 15:21:08

1.有时候得记得时刻的保存,要不回出现死机的情况,这个时候就悲剧了
2.在用CPLD的时候,如果有时候下载进去了没有反应的话,需要将下载线拔掉尝试。

eric2013 发表于 2013-9-30 15:36:54

有时候为了建立工程的方便,工程是直接用的以前的工程,这里要注意这个地方,下载的程序还是以前的路径



eric2013 发表于 2013-10-18 18:42:55

如果自己的电脑既有无线和有线的话,请使用注册的时候用的MAC, 要不会出现没有注册的情况
页: [1]
查看完整版本: QUARTUS II警告整理贴