硬汉嵌入式论坛

 找回密码
 立即注册
查看: 4113|回复: 3
收起左侧

组合逻辑电路(5)----- 算数运算(乘法器)

[复制链接]

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
107128
QQ
发表于 2013-1-26 14:03:49 | 显示全部楼层 |阅读模式
【例5.16】用for 语句实现2个8位数相乘
module mult_for(outcome,a,b);
parameter size=8;
input[size:1] a,b;                   //两个操作数
output[2*size:1] outcome;      //结果
reg[2*size:1] outcome;
integer i;
always @(a or b)
    begin
outcome=0;
for(i=1; i<=size; i=i+1)        //for语句
if(b)  outcome=outcome +(a << (i-1));
    end
endmodule
【例5.17】用repeat实现8位二进制数的乘法
module mult_repeat(outcome,a,b);
parameter size=8;
input[size:1] a,b;
output[2*size:1] outcome;
reg[2*size:1] temp_a,outcome;
reg[size:1] temp_b;
always @(a or b)
  begin
outcome=0;
temp_a=a;
temp_b=b;
repeat(size)         //repeat语句,size为循环次数
begin
if(temp_b[1])      //如果temp_b的最低位为1,就执行下面的加法
outcome=outcome+temp_a;
temp_a=temp_a<<1;      //操作数a左移一位
【例6.6】阶乘运算函数
module funct(clk,n,result,reset);
output[31:0] result;
input[3:0] n;
input reset,clk;
reg[31:0] result;
always @(posedge clk)          //在clk的上升沿时执行运算
begin
if(!reset)  result<=0;        //复位
else  begin
result <= 2 * factorial(n); //调用factorial函数
end
end

function[31:0] factorial;        //阶乘运算函数定义(注意无端口列表)
input[3:0] opa;                 //函数只能定义输入端,输出端口为函数名本身
reg[3:0] i;
begin
factorial = opa ? 1 : 0;
for(i= 2; i <= opa; i = i+1)   //该句若要综合通过,opa应赋具体的数值
factorial = i* factorial;       //阶乘运算
end

function[31:0] factorial;        //阶乘运算函数定义(注意无端口列表)
input[3:0] opa;                 //函数只能定义输入端,输出端口为函数名本身
reg[3:0] i;
begin
factorial = opa ? 1 : 0;
for(i= 2; i <= opa; i = i+1)   //该句若要综合通过,opa应赋具体的数值
factorial = i* factorial;       //阶乘运算
end
endfunction
endmodule
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
107128
QQ
 楼主| 发表于 2013-1-26 14:09:27 | 显示全部楼层
【例9.30】乘累加器(MAC)代码
module MAC(out,opa,opb,clk,clr);
output[15:0] out;
input[7:0] opa,opb;
input clk,clr;
wire[15:0] sum;
reg[15:0] out;

function[15:0] mult;       //函数定义,mult函数完成乘法操作
input[7:0] opa,opb;        //函数只能定义输入端,输出端口为函数名本身
reg[15:0] result;
integer i;

begin
result = opa[0]? opb : 0;
for(i= 1; i <= 7; i = i+1)
begin
    if(opa==1)  result=result+(opb<<(i-1));
end
mult=result;
end
endfunction

assign sum=mult(opa,opb)+out;

always @(posedge clk or posedge clr)
    begin
if(clr)  out<=0;
else    out<=sum;
    end
endmodule
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
107128
QQ
 楼主| 发表于 2013-1-26 14:25:41 | 显示全部楼层
【例12.4】8位并行乘法器
module mult(outcome,a,b);
parameter size=8;
input[size:1] a,b;          //两个操作数
output[2*size:1] outcome;      //结果
assign outcome=a*b;               //乘法运算符
endmodul
【例12.5】4×4查找表乘法器
module mult4x4(out,a,b,clk);
output[7:0] out;
input[3:0] a,b;
input clk;
reg[7:0] out;
reg[1:0] firsta,firstb;
reg[1:0] seconda,secondb;
wire[3:0] outa,outb,outc,outd;
always @(posedge clk)
begin
firsta = a[3:2];  seconda = a[1:0];
firstb = b[3:2];  secondb = b[1:0];
end

lookup  m1(outa,firsta,firstb,clk),
     m2(outb,firsta,secondb,clk),
     m3(outc,seconda,firstb,clk),
         m4(outd,seconda,secondb,clk);    //模块调用

always @(posedge clk)
begin
     out = (outa << 4) + (outb << 2) + (outc << 2) + outd;
end
endmodule

module lookup(out,a,b,clk);             //用查找表方式实现2×2乘法
output[3:0] out;
input[1:0] a,b;
input clk;
reg[3:0] out;
reg[3:0] address;
always @(posedge clk)
begin
   address = {a,b};
   case(address)
   4'h0 : out = 4 'b0000;
   4'h1 : out = 4'b0000;
   4'h2 : out = 4'b0000;
   4'h3 : out = 4'b0000;
   4'h4 : out = 4'b0000;
   4'h5 : out = 4'b0001;
   4'h6 : out = 4'b0010;
   4'h7 : out = 4'b0011;
   4'h8 : out = 4'b0000;
   4'h9 : out = 4'b0010;
   4'ha : out = 4'b0100;
   4'hb : out = 4'b0110;
   4'hc : out = 4'b0000;
   4'hd : out = 4'b0011;
   4'he : out = 4'b0110;
   4'hf : out = 4'b1001;
   default : out='bx;
   endcase
end
endmodule
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
107128
QQ
 楼主| 发表于 2013-1-26 14:53:17 | 显示全部楼层
【例12.6】8位加法树乘法器
module add_tree(out,a,b,clk);
output[15:0] out;
input[7:0] a,b;
input clk;
wire[15:0] out;
wire[14:0] out1,c1;
wire[12:0] out2;
wire[10:0] out3,c2;
wire[8:0] out4;
reg[14:0] temp0;
reg[13:0] temp1;
reg[12:0] temp2;
reg[11:0] temp3;
reg[10:0] temp4;
reg[9:0] temp5;
reg[8:0] temp6;
reg[7:0] temp7;

function[7:0] mult8x1;      //该函数实现8×1乘法
input[7:0] operand;
input sel;
begin
mult8x1= (sel) ? (operand) : 8'b00000000;
例12.6】8位加法树乘法器
module add_tree(out,a,b,clk);
output[15:0] out;
input[7:0] a,b;
input clk;
wire[15:0] out;
wire[14:0] out1,c1;
wire[12:0] out2;
wire[10:0] out3,c2;
wire[8:0] out4;
reg[14:0] temp0;
reg[13:0] temp1;
reg[12:0] temp2;
reg[11:0] temp3;
reg[10:0] temp4;
reg[9:0] temp5;
reg[8:0] temp6;
reg[7:0] temp7;

function[7:0] mult8x1;      //该函数实现8×1乘法
input[7:0] operand;
input sel;
begin
mult8x1= (sel) ? (operand) : 8'b00000000;
程序文本
- 76 -
end
endfunction

always @(posedge clk)      //调用函数实现操作数b 各位与操作数a的相乘
  begin
temp7<=mult8x1(a,b[0]);
temp6<=((mult8x1(a,b[1]))<<1);
temp5<=((mult8x1(a,b[2]))<<2);
temp4<=((mult8x1(a,b[3]))<<3);
temp3<=((mult8x1(a,b[4]))<<4);
temp2<=((mult8x1(a,b[5]))<<5);
temp1<=((mult8x1(a,b[6]))<<6);
temp0<=((mult8x1(a,b[7]))<<7);
end

assign  out1 = temp0 + temp1;    //加法器树运算
assign  out2 = temp2 + temp3;  
assign  out3 = temp4 + temp5;
assign  out4 = temp6 + temp7;
assign  c1 = out1 + out2;
assign  c2 = out3 + out4;
assign  out = c1 + c2;

endmodule
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|手机版|硬汉嵌入式论坛

GMT+8, 2024-5-20 00:39 , Processed in 0.249053 second(s), 25 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2023, Tencent Cloud.

快速回复 返回顶部 返回列表