硬汉嵌入式论坛

 找回密码
 立即注册
查看: 4444|回复: 4
收起左侧

CPLD570实验一:永恒的跑马灯(看似简单的跑马灯,里面却有很多故事)

[复制链接]

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
106754
QQ
发表于 2013-2-2 14:28:15 | 显示全部楼层 |阅读模式
说明:从软件的编程转到硬件的编程一定要转变自己的编程思想
          (1)硬件描述语言对应的是硬件的电路,这个一定要是个牢记,要不老犯浑
          (2)编程好后,多看看RTL视图,看这个也很重要。
          (3)作为初学者,多做做功能仿真和时序仿真。

程序:

module LED(
    led,
    clk
);
    output [7:0] led = 8'b0000_0000;
    input clk;
    reg[7:0] led;        
    reg[24:0] counter = 25'd0;   

    always@(posedge clk)
        begin         
            if(counter == 25'd24)
                begin   
                    led = ~led;
                     counter = 25'd0;
                end
             else
               begin
                    counter = counter + 1'b1;
                end                       
        end         
endmodule
测试TestBench
`timescale 1 ns/ 1 ps  //uint accuracy
`define clk_cycle  10  //10ns
module LED_vlg_tst();
reg clk;
// wires                                               
wire [7:0]  led;
// assign statements (if any)                          
LED i1 (
// port map - connection between master ports and signals/registers   
    .clk(clk),
    .led(led)
);
initial                                                
begin                                                  
    clk = 0;
    #3000 $stop;
end                                                
always  #`clk_cycle  clk = ~clk;                                                
endmodule
程序说明:
(1)为了仿真方面,这里counter == 25'd24 的时候,就发生反转。
(2)硬件的描述语句的顺序,和阻塞与非阻塞,一定要注意。
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
106754
QQ
 楼主| 发表于 2013-2-2 14:36:55 | 显示全部楼层
1.jpg
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
106754
QQ
 楼主| 发表于 2013-2-2 14:45:05 | 显示全部楼层
功能仿真
2.jpg
回复

使用道具 举报

1万

主题

6万

回帖

10万

积分

管理员

Rank: 9Rank: 9Rank: 9

积分
106754
QQ
 楼主| 发表于 2013-2-2 14:58:19 | 显示全部楼层
功能仿真
3.jpg
回复

使用道具 举报

5

主题

109

回帖

124

积分

初级会员

积分
124
发表于 2017-7-31 11:17:04 | 显示全部楼层
啥都搞[s:151]
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

QQ|小黑屋|Archiver|手机版|硬汉嵌入式论坛

GMT+8, 2024-5-4 12:16 , Processed in 0.172336 second(s), 28 queries .

Powered by Discuz! X3.4 Licensed

Copyright © 2001-2023, Tencent Cloud.

快速回复 返回顶部 返回列表